Marquee Text Generator - http://www.marqueetextlive.com

Minggu, 09 Desember 2012

Tugas 3


Depok, 10 Desember  2012
No            : 09/STFDR/VII/2012
Perihal   : Undangan Rapat
Kepada
Yth. Dosen
Universitas Gunadarma
Jl. Margonda Raya No. 100
Depok
Dengan hormat,
Sehubungan dengan akan diadakannya acara seminar nasional yang akan dilaksanakan di Universitas Gunadarma  dengan tema “UNI KOLABORASI antara PERGURUAN TINGGI dan INDUSTRI dalam MENINGKATKAN DAYA SAING LULUSAN”.
Maka dengan ini, kami mengundang seluruh dosen guna mengikuti rapat untuk membahas mengenai acara seminar nasional dengan tema sebagaimana tersebut pada pokok surat undangan yang rencananya akan  diselenggarakan pada :
Hari / Tanggal             :  Rabu, 28 April 2012
Tempat                         :  Auditorium Universitas Gunadarma gedung 4 lt. 6 Depok
Waktu                          : 09.00 WIB
Demikian sekiranya pemberitahuan dari kami. Atas perhatian serta kehadiran Bapak dan Ibu pada acara tersebut, kami ucapkan terima kasih.

    Hormat kami,
    Ketua Panitia,

(Septriana Anggreini)

Tulisan keduapuluh


VIDEO GRAPHIC ARRAY (VGA)

   Ø   VIDEO GRAPHIC ARRAY (VGA)

Video Graphic Array (VGA) merupakan sebuah standar tampilan komputer analog yang dipasarkan pertama kali oleh IBM pada 1987. Walaupun standar VGA sudah tidak lagi digunakan karena sudah digantikan oleh standar yang lebih baru, VGA masih digunakan dalam pasar pocket pc. VGA merupakan standar grafis terakhir yang diikuti oleh mayoritas pabrik pembuat kartu grafis komputer. Tampilan Windows sampai sekarang masih beroperasi dalam mode VGA karena mode VGA didukung oleh banyak pembuat monitor dan kartu grafis.
VGA merupakan salah satu komponen output yang bertugas menampilkan hasil proses pada layar monitor. Istilah VGA juga sering digunakan untuk mengacu kepada resolusi layar berukuran 640×480, apapun pembuat perangkat keras kartu grafisnya. Kartu VGA berguna untuk menerjemahkan output (keluaran) komputer ke monitor. Untuk menggambar/desain grafis ataupun untuk bermain game, kita perlu VGA yang tinggi kekuatannya


Ø  Resolusi VGA

Resolusi VGA 640x480 piksel adalah dengan 16 atau 256 warna. Dasar resolusi ini digunakan ketika boot PC, dan juga digunakan dalam Safe Mode untuk mengatasi masalah, karena driver display ditetapkan pada resolusi yang lebih tinggi dapat menyebabkan masalah. Meskipun pengguna tidak berhenti dari menjaga resolusi ini, sangat sedikit data akan muncul di layar. Namun, layar kecil di perangkat genggam juga dapat menggunakan VGA atau bahkan lebih rendah resolusinya. Di bawah ini merupakan standar resolusi yang biasa dipakai pada VGA :
·         640x480
·         800x600
·         1024x768
·         1280x1024
·         1600x1200

Tiga terakhir merupakan yang paling umum digunakan. Sebagai contoh, 1024x768 berarti ada 1.024 kolom dan 768 baris piksel pada layar. Semakin tinggi resolusinya, bahan lebih dapat dilihat di layar, namun resolusi tinggi di layar kecil membuat teks sangat kecil.

Ø   Jenis-jenis Konektor VGA
Sebuah konektor VGA 15-pin tiga baris DE-15 konektor. 15-pin konektor VGA ditemukan pada banyak kartu video, monitor komputer, dan beberapa set televisi definisi tinggi. VGA konektor dan kabel komponen RGBHV membawa analog (merah, hijau, biru, horizontal sync, sync vertikal) sinyal video, dan data. Pada komputer laptop atau perangkat kecil lainnya, port mini-VGA kadang-kadang digunakan sebagai pengganti konektor VGA berukuran penuh.
Hal ini juga disebut konektor RGB, D-sub 15, mini sub D15, mini konektor D15, HD-15, atau HD15 (High Density 15), DE-15, atau DE15, yang membedakannya dari konektor dengan ukuran yang sama tetapi dengan hanya dua baris pin. Kadang-kadang, konektor ini disebut sebagai "DB-15" atau sebagai ""-15 HDB.
Port VGA didalam FPGA digunakan sebagai output dari hasil program didalam FPGA, dimana program tersebut merupakan program untuk mengontrol tampilan grafik yang nantinya akan ditampilkan pada monitor. Namun FPGA hanya dapat menampilkan grafik tidak lebih dari resolusi 640 x 480.

Tulisan kesembilanbelas


LCD

                          Ø  LCD Karakter

LCD ( Liquid Crystal Display ) merupakan salah satu media yang digunakan sebagai penampil pada sistem berbasis mikrokontroler. Banyak macam LCD yang tersedia dipasaran, baik yang dapat menampilkan gambar maupun teks.Untuk LCD yang dapat menampilkan gambar disebut LCD Grafik sedangkan LCD yang dapat menampilkan teks disebut LCD Karakter.


Ø  Antarmuka dan Pin LCD Karakter pada FPGA

LCD Karakter yang digunakan pada kasus ini adalah 2x16, dimana untuk menampilkan karakter sebanyak 2 baris 16 kolom dengan menggunakan lebar data sebanyak 4 bit atau 8 bit. Berikut ini dijelaskan mengenai fungsi pin – pin pada LCD Karakter 2x16 dan antarmuka dengan FPGA Board :


Tulisan kedelapanbelas


BINARY CODE
Ø  PS/2 Keyboard
Keyboard PS/2 adalah suatu serial protokol yang dapat bidirectional. Keyboard PS/2 untuk komunikasinya hanya menggunakan 2 buah kabel yaitu kabel data untuk aliran data dari/ke komputer dan kabel untuk clock. Ada tiga keadaan pada keyboard yang perlu diperhatikan:
1. Pada saat data = high, clock = high maka keadaan ini disebut idle state.
2. Pada saat data = high, clock = low maka keadaan ini yang tidak boleh tejadi.
3. Pada saat data = low, clock = high maka berarti tanda bahwa host akan  mengirim perintah ke keyboard.

Data dikirimkan dari keyboard ke host pada saat ada perubahan clock dari logika high menjadi logika low (falling edge transition), demikian pula untuk data yang dikirimkan dari host ke device pada saat falling edge transtion clock. Frekuensi dari clock berada dalam jangkauan 10-16.7 KHz (Chapweske, 1999). Keluaran dari kedua output tersebut memenuhi standar sinyal TTL, sehingga dapat langsung dihubungkan dengan mikrokontroler

Ø  Scan Code
Istilah host yang berarti komputer, jika keyboard atau mouse dihubungkan dengan PC, atau host dapat berupa mikrontroler bila keyboard atau mouse dihubungkan dengan mikrokontroler.Pada keyboard komputer, setiap kali salah satu tombol ditekan atau dilepas, keyboard akan mengirim kode ke host. Kode yang dikirimkan ke host tersebut dinamakan sebagai scan code. Sebagai contoh, bila scan code tombol ‘i’ adalah 43H (0100 0011). Ketika tombol ‘i’ ditekan keyboard akan mengirimkan 43H, jika tombol ‘i’ ditekan terus maka keyboard akan terus mengirimkan 43H terus menerus sampai tombol ‘i’ tadi dilepaskan atau ada tombol lain yang ditekan. Keyboard juga mengirimkan kode saat ada tombol yang dilepaskan, kodenya adalah F0H (1111 0000) kemudian diikuti dengan scancode tombol yang ditekan, jadi kalau tombol ‘i’ tadi dilepas keyboard akan mengirimkan kode F0H dan 43H. Kode-kode tersebut dikirim keyboard secara serial, artinya dikirimkan satu bit demi satu bit dimulai dari bit LSB dahulu, seperti pada gambar 2. Misalnya 43 dikirimkan dengan cara: mula-mula dikirim ‘1’, sesaat kemudian ‘1’ lagi dan menyusul ‘0’ setelah itu ‘0’ sampai akhirnya 8 bit yang berbentuk 0100 0011.

Ø  Sistem Bilangan Biner
Sistem bilangan biner atau sistem bilangan basis dua adalah sebuah sistem penulisan angka dengan menggunakan dua simbol yaitu 0 dan 1. Sistem bilangan ini merupakan dasar dari semua sistem bilangan berbasis digital. Dari bilangan biner, kita dapat mengkonversinya ke dalam bilangan Oktal atau Hexadesimal. Sistem ini juga dapat kita sebut dengan istilah bit (Binary Digit). Pengelompokan biner dalam komputer selalu berjumlah 8, dengan istilah 1 Byte/bita. Dalam istilah komputer, 1 Byte = 8 bit. Kode-kode rancang bangun komputer, seperti ASCII, (American Standard Code for Information Interchange) menggunakan sistem pengkodean 1 Byte.

Tulisan ketujuhbelas


INTEGRATED CIRCUIT

v  Integrated Circuit
Sirkuit terpadu (bahasaInggris: integrated circuit atau IC) adalah komponen dasar yang terdiri dari resistor, transistor dan lain-lain. IC adalah komponen yang dipakai sebagai otak peralatan elektronika.
IC digunakan untuk beberapa keperluan pembuatan peralatan elektronik agar mudah dirangkai menjadi peralatan yang berukuran relative kecil. Sebelum adanya IC, hamper seluruh peralatan elektronik dibuat dari satuan-satuan komponen (individual) yang dihubungkan satu sama lainnya menggunakan kawat atau kabel, sehingga tampak mempunyai ukuran besar serta tidak praktis.
IC yang paling banyak digunakan secara luas saat ini adalah IC digital yang dipergunakan untuk peralatan komputer, kalkulator dan system kontrol elektronik. IC digita lbekerja dengan dasar pengoperasian bilangan Biner Logic (bilangandasar 2) yaitu hanya mengenal dua kondisi saja 1(on) dan 0 (off).
Jenis IC digital terdapat 2(dua) jenis yaitu TTL dan CMOS. Jenis IC-TTL dibangun dengan menggunakan transistor sebagai komponen utamanya dan fungsinya dipergunakan untuk berbagai variasi Logic, sehingga dinamakan Transistor.
Transistor dalam IC digital dibuat pada keeping silicon dengan cara yang sama dengan IC analog. Kondisi dua keadaan (ON/FF) adalah jantung dari logika digital dan komputer digital. Dengan mengendalikan kondisi ON/OFF transistor pada IC digital, dapat dibuat berbagai fungsi logika. Ada tiga fungsi logika dasar yaitu AND, OR dan NOT.
CMOS (Complementary Metal Oxide Semiconductor) sebenarnya antara IC TTL dan IC CMOS memiliki pengertian sama, hanya terdapat beberapa perbedaan yaitu dalam penggunaan IC CMOS konsumsi daya yang diperlukan sangat rendah dan memungkinkan pemilihan tegangan sumbernya yang jauh lebih lebar yaitu antara 3 V sampai 15 V. level pengsaklaran CMOS merupakan fungsi dari tegangan sumber. Makin tinggi sumber tegangan akan semakin lebar tegangan yang memisahkan antara keadaan “1” dan “0”.

v  Counter SecaraUmum

Counter merupakan rangkaian logika pengurut, karena counter membutuhkan karakteristi kmemori, dan pewaktu memegang peranan yang penting. Counter digital mempunyai karakteristik penting yaitu sebagai berikut :

1. Jumlah hitungan maksimum (modulus N-counter)
2. Menghitungke-atasatauke-bawah (up atau down - counter)
3. Operasiasinkronatausinkron
4. Bergerakbebasatauberhentisendiri

Sebagaimana dengan rangkaian sekuensial yang lain, untuk menyusun counter digunakan flip-flop. Counter dapat digunakan untuk menghitung banyaknya clock-pulsa dalam waktu yang tersedia (pengukuran frekuensi), Counter dapat juga digunakan untuk membagi frekuensi dan menyimpan data.
Ada dua macam counter, yaitu Asinkronous Counter dan Sinkronous Counter. Asinkronous Counter disebut juga Ripple Through Counter atau Counter Serial (Serial Counter), karena output masing-masing flip-flop yang digunakan akan berubah kondisi dari “0” ke “1” dan sebaliknya secara berurutan, hal ini disebabkan karena flip-flop yang paling ujung dikendalikan oleh sinyal clock, sedangkan sinyalclock untuk flip-flop lainnya berasal dari masing-masing flip-flop sebelumnya. Sedangkan pada counter sinkron, output flip-flop yang digunakan bergantian secara serempak. Hal ini disebabkan karena masing-masing flip-flop tersebut dikendalikan secara serempak oleh sinyal clock. Oleh karena itu Counter Sinkron dapat pula disebut sebagai Counter paralel (Parallel Counter).

Tulisan keenambelas


VERILOG
v   Sejarah Verilog
Verilog pada awalnya dimulai sebagai perangkat lunak yang memiliki bahasa dengan model perangkat keras Gateway Design Automation Inc sekitar tahun 1984. Dari rumor yang beredar, Bahasa ini dirancang dengan mengambil fitur dari bahasa HDL paling popular pada waktu itu, yang disebut Hilo dan juga dari bahasa komputer tradisional seperti C.
Verilog simulator, pertama kali digunakan pada tahun 1985 dan diperpanjang substansialnya melalui pelaksanaannya pada tahun 1987. Verilog simulator pertama adalah Verilog-XL, yang menambahkan beberapa fitur salah satunya yang terkenal adalah "XL algoritma" yang merupakan metode yang sangat efisien untuk melakukan simulasi gerbang-tingkat.
Pada akhir 1990, Cadence Design System, yang pada saat itu membuat proses film tipis simulator, memutuskan untuk membentuk Gateway Sistem otomatis. Yang saat ini menjadi pemilik bahasa Verilog, baik sebagai bahasa atau sebagai simulator. Pada saat yang sama, Synopsys memasarkan topdown desain metodologinya, menggunakan Verilog. Ini adalah kombinasi yang kuat pada sekitar tahun 1990-an. Walaupun standardisasi tekanan pada akhirnya menyebabkan industri bergeser ke bahasa VHDL.

v     Pemrograman Verilog
Verilog adalah sebuah bahasa yang termasuk HARDWARE DESCRIPTION LANGUAGE (HDL). Dimana bahasa yang digunakan untuk menggambarkan sistem digital pada suatu perangkat keras. Verilog seperti bahasa deskripsi perangkat keras lainnya, memungkinkan desainer untuk merancang sebuah desain dalam dua metodologi,

                       v      Simulasi
Simulasi adalah proses verifikasi karakteristik fungsional model pada setiap tingkat abstraksi. Kami menggunakan simulator untuk mensimulasikan Hardware model. Untuk menguji apakah kode RTL memenuhi persyaratan fungsional spesifikasi dan melihat apakah semua blok RTL fungsional benar. Untuk mencapai ini kita perlu menulis testbench, yang menghasilkan CLK, reset dan tes yang diperlukan vector

                           v       Sintesis
Sintesis adalah proses di mana desain alat seperti compiler atau Synplify mengambil RTL di Verilog atau VHDL. Sintesis adalah alat pemetaan RTL untuk setiap program, juga melakukan minimal waktu analisis untuk melihat apakah desain yang dipetakan memenuhi persyaratan atau tidak.

Sabtu, 08 Desember 2012

Tulisan Kelimabelas


VHDL
VHDL (Very high speed integrated Hardwere Description Language) adalah sebuah bahasa pemrograman VHSIC (Very High Speed Intregated Circuit) yang dikembangkan oleh IEEE (Institute of Electrical and Electronic Engineering ).. Pada VHDL, konsep serta syntax banyak diperlukan untuk mengerti bagaimana rancangan VHDL sebagai bagian dari pemrograman FPGA. Dalam kebanyakan kasus, keputusan memilih dan menggunakan kode VHDL daripada kode Verilog atau SystemC, sangat tergantung pada pilihan perancang itu sendiri dan lebih kepada ketersediaan software pendukung serta kebutuhan perusahaan.
VHDL adalah termasuk bahasa pemodelan yang digunakan untuk merancang atau memodelkan rangkaian digital. Lalu keuntungan apa yang diperoleh dengan menggunakan VHDL sebagai bahasa pemodelan:
a.       VHDL mampu melakukan desain hardware hingga sampai system yang lebih kompleks
b.      Mudah dalam mencari dan mendeteksi kesalahan dengan lebih mudah dalam simulasi.
c.        Bahasa pemrograman yang mudah dimengerti dan dipelajari dengan cepat

Seperti pada bahasa pemograman yang telah kita ketahui dan dipelajari sebelumnya seperti Pascal dan C. VHDL juga memiliki struktur dan aturan yang harus dipatuhi. Di dalam VHDL juga terdapat main body program, procedure, function dsb.
Sebuah system elektronik digital dapat digambarkan sebagai sebuah modul dengan inputs dan outputs. Modul sering disebut design entity dan input / output disebut ports pada VHDL. Jika sebuah modul dapat dipecah kita akan memperoleh bagian – bagian modul yang disebut dengan instances, dan sesuatu yang menghubungkan ports (input / output) disebut signals.
Cara pendeskripsian / penggambaran model system digital dengan VHDL seperti ini lah yang disebut structural description. Tentunya tidak hanya structural description saja yang dapat digunakan sebagai teknik penggambaran model terdapat beberapa deskripsi yang lain seperti :
a)         Behavioral discription dimana system digital dideskripsikan / digambarkan dengan cara menceritakan bagaimana system tersebut bekerja, proses apa yang terjadi pada system tersebut dan hirarkinya.
b)         Mixed description dimana merupakan penggabungkan cara structural dan   behavioral description.
c)         Test Bench description merupakan teknik penggambaran system digital dengan mendiskripsikan entitynya lalu dilakukan test pada system digital tersebut.

Tulisan Keempatbelas


FPGA Design Entry
Suatu rancangan rangkaian dapat diimplementasikan ke dalam FPGA menggunakan 2 metode yaitu:
1.    Metode menggunakan gambar (schematic)
2. Metode menggunakan Bahasa Deskripsi Perangkat Keras (Hardwere Description Language/HDL).

Metode Menggunakan Gambar (Schematic)
Suatu rancangan rangkaian dapat diwujudkan ke dalam FPGA dengan cara menggambar skema rangkaian tersebut. Penggambaran skema rangkaian gambar tersebut dapat dilakukan pada perangkat lunak (software) yang biasanya disertakan dalam setiap pembelian FPGA. Misalnya software Quartus dan Max+Plus untuk FPGA milik Altera. Sedangkan FPGA buatan Xilinx dengan perangkat lunaknya yakni ISE WebPack. Selanjutnya, skema rangkaian digambar dengan cara membuat tiap komponen serta jalur-jalur yang menghubungkan komponen-komponen tersebut menjadi satu kesatuan. Hingga akhirnya tercipta gambar skema rangkaian yang utuh. Metode ini terbilang mudah dan efektif terutama bila dipakai untuk skema rangkaian yang sederhana serta tidak memiliki jalur-jalur yang rumit.
Namun, untuk rangkaian yang memiliki banyak komponen dan jalur yang rumit serta kompleks, metode ini tidaklah efektif. Hal ini disebabkan karena proses maintenance atau pengecekan rangkaian secara keseluruhan akan mengalami kesulitan. Pengguna FPGA akan mengalami masalah bila harus meneliti dan mengecek tiap-tiap jalur beseta komponen yang dihubungkannya bila skema rangkaian yang dibuat mengalami masalah atau kerusakan. Selain itu, terkadang file format yang dihasilkan dari metode schematic seringkali tidak cocok dengan vendor FPGA.

Metode Menggunakan Hardwere Language Description/HDL
Metode yang lain untuk perancangan rangkaian adalah metode menggunakan Bahasa Deskripsi Perangkat Keras (Hardwere Description Language/HDL). Nantinya tiap-tiap komponen serta jalur yang menghubungkannya akan dideskripsikan lewat tulisan atau kode tertentu. Seperti yang telah disinggung pada artikel sebelumnya, tiap vendor FPGA memiliki aturan mengenai penggunaan kode dalam hal implementasi di dalam FPGA. Namun, sejak sekitar 10 tahun lalu, telah muncul kode baru yang dapat diimplementasikan ke dalam semua jenis FPGA buatan vendor manapun. Kode baru tersebut ada 2 yakni verilog dan VHDL. Baik verilog maupun VHDL ternyata lebih terkenal karena mudah dipahami dan dimengerti.
Selanjutnya dua kode ini kemudian menjadi acuan utama dalam proses implementasi rancangan rangkaian ke dalam FPGA (apapun jenis vendornya). Hingga saat ini, metode perancangan menggunakan HDL (baik verilog maupun VHDL) lebih banyak digunakan daripada metode schematic.
Selanjutnya, mempelajari HDL sangatlah penting dan dibutuhkan terutama bagi mereka yang serius ingin terjun di dalam dunia FPGA. Selain itu, HDL kini telah menjadi acuan utama dalam dunia industri sehingga tidak ada ruginya bila kita ingin mempelajarinya.

Tulisan ke Tigabelas


Software FPGA

Perusahaan pembuat FPGA pada umumnya memberikan perangkat lunak secara cuma-cuma alias gratis. Software ini digunakan untuk mendukung proses design entry, simulation, synthesis and place-and-route, dan Programming through special cables (JTAG). Biasanya software yang dibagikan secara gratis hanya untuk jenis FPGA tingkat rendah-menengah atau hanyalah berupa demo saja. Sedangkan untuk jenis FPGA tingkat atas maka software pendukungnya tidaklah gratis melainkan berbayar. Berikut beberapa software pendukung yang gratis atau demo bagi FPGA :
 Perusahaan Xilinx terkenal dengan software miliknya yang bernama ISE WebPack
 Perusahaan Altera terkenal dengan software miliknya yang bernama Quartus II Web Edition

Software di atas cukup bagus dan baik untuk memulai belajar menggunakan FPGA karena software tersebut memiliki fungsi yang hampir sama dengan yang berbayar. Selain itu, saat ini dengan fungsi yang cukup dan memadai maka tidak perlu membeli software yang ada secara lengkap. Berikut cara memulai memrogram FPGA :
1. Download software yang dibutuhkan.
2. Intsall software tersebut
3. Meminta license untuk mengaktifkan software melalui email. Tanpa adanya license maka    software yang telah terinstal tidak akan dapat digunakan.

Perangkat lunak Xilinx

Xilinx (Xilinx Foundation Series) adalah suatu perangkat lunak yang berguna untuk merancang dan mensimulasikan suatu rangkaian digital. Dengan menggunakan Xilinx proses perancangan suatu alat atau rangkaian digital melalui proses simulasi rangkaian yang telah dirancang untuk melihat apakah rancangan yang telah dibuat sudah benar atau masih mengandung kesalahan.
Untuk perancangan rangkaian digital, Xilinx mempunyai tiga cara, yaitu dengan menggunakan State Diagram, HDL (Hardware Description Language) dan Schematic. Dalam perancangan bisa menggunakan salah satu cara saja atau menggabungkan ketiga cara tersebut. Untuk HDL, Xilinx dapat menggunakan dua bahasa pemrograman yaitu ABEL dan VHDL